S 15 EDA

From ATI public wiki
Jump to: navigation, search

Synopsys 2014/2015 EDA version


oma Synopsyse töökataloogi tuleks paigutada fail .synopsys_dc.setup

mille sisuks võiks olla

set company "TTU"

define_design_lib WORK -path ./WORK.syn

set search_path "$search_path /cad/dk/s/v4.11"

set synthetic_library {standard.sldb};

set link_library {ams_corelib.db ams_iolib.db ams_physical.db}
set target_library {ams_corelib.db ams_iolib.db ams_physical.db}
set symbol_library {generic.sdb standard.sdb}

see seob meile kasutatava AMS HITKIT 4.11 designkiti Synopsysega